SSI通讯

1、SSI通讯协议

SSI为缩写,其全称为同步串行接口(Synchronous Serial Inferface)。数据传输采用同步方式,在空闲不发生数据时,数据和时钟都保持高电平。在时钟信号的第一个下降沿,编码器的当前位置值被载入,在随后的时钟上升沿,载入的位置数据被送出,然后在时钟信号下降沿,上位机读出稳定的数据,数据高位在前,低位在后。当所有数据传送完成后,数据和时钟都回到高电平。

2、SSI框图

3、SSI波形时序

T=1/f,100KHz<时钟频率f≤2MHz

MSB=数据位高位

LSB=数据位低位

Tm=单稳态触发时间

TP=时间间隙>20uS

N=编码器分辨率位数

从SSI波形时序可以看出,上位机发送的时钟个数和读取数据的时钟沿需要设置正确,如果设置错误,则会得到错误的位置数据。

如编码器是10位,如果只发送9个时钟,则最大只能得到511;如发送11位,则会出现跳数现象且最大得到2046。

上位机需要在时钟的下降沿读出稳定的数据,在上升沿读取数据可能会得到错误的位置数据。

4、SSI数据格式

SSI数据格式分为:自然二进制码和格雷码

5、SSI传输距离

6、西门子PLC使用SSI绝对值编码器例程

S7-1500 TM PosInput 2 模块测量SSI绝对值编码器 (siemens.com.cn)

以防页面丢失,下载了文档说明:

S7-1500 TM PosInput 2 模块测量SSI绝对值编码器

欧姆龙SSI模块w524-e1-10_nx-ec0_ecs_pg

 

error: Content is protected !!

鲁公网安备 37100402000539号

鲁ICP备2023019584号